Стрелочный частотомер на одном транзисторе схема. Цифровой частотомер своими руками. Напряжение на выводах микроконтроллера


Этот прибор имеет не только большой верхний предел измеряемой частоты, но и ряд дополнительных функций. Он измеряет уход частоты от начального значения, длительность импульсов и пауз между ними, подсчитывает число импульсов. Его можно использовать и как делитель частоты входного сигнала с задаваемым в широких пределах коэффициентом деления.

Предлагаемый частотомер содержит шесть микросхем - компаратор напряжения AD8611ARZ , синтезатор частоты LMX2316TM , D-триггер 74HC74D , селектор-мультиплексор 74HC151D , микроконтроллер PIC16F873A-1/SP и интегральный стабилизатор напряжения TL7805. Результаты измерения он выводит на символьный ЖКИ WH1602B .

Основные технические характеристики

Интервал измеряемой частоты

импульсов с уровнями ТТЛ, Гц...............0,1...8·10 7

аналоговых периодических сигналов произвольной формы напряжением более 100 мВэфф, Гц.....................1...8·10 7

синусоидальных ВЧ-сигналов напряжением более 100 мВэфф, МГц...............20...1250

Длительность счёта при измерении частоты, мс......10 4 , 10 3 , 100, 10

Интервал измеряемой длительности импульсов, мкс........10...10 6

Максимальная частота следования подсчитываемых импульсов, кГц...............100

Максимальное число подсчитанных импульсов.....100 000 000

Измеряемый уход частоты

импульсов на входе ТТЛ или сигнала на аналоговом входе, Гц..........±1...±10 6

сигнала на входе ВЧ, кГц...................±1...±10 5

Коэффициент деления частоты сигнала

поданного на аналоговый вход..............3 - 16383

поданного на вход ВЧ................1000 - 65535

Уровни выходных импульсов делителя частоты.............ТТЛ

Длительность выходных импульсов делителя частоты, мкс.......................0,5

Напряжение питания (постоянное), В...................9.16

Потребляемый ток, мА......100...150

При выключении прибора установленные режимы его работы микроконтроллер запоминает в своём EEPROM и восстанавливает при включении.

Схема частотомера изображена на рис. 1. Тактовый генератор микроконтроллера DD3 стабилизирован кварцевым резонатором ZQ1. Подстроечный конденсатор C13 позволяет установить тактовую частоту в точности равной 4 МГц. Стабилизатор напряжения +5 В собран на микросхеме DA2. Подстроечным резистором R23 регулируют яркость подсветки экрана ЖКИ HG1. Оптимальную контрастность изображения на нём устанавливают подстроечным резистором R21.

Рис. 1. Схема частотомера

Кнопками SB1-SB3 управляют прибором. Кнопка SB1 служит для выбора измеряемого параметра. Кнопкой SB2 выбирают разъём, на который подают измеряемый сигнал. В зависимости от частоты и формы входного сигнала это может быть XW1 (импульсы логических уровней частотой 0,1 Гц...80 МГц), XW2 (аналоговые сигналы произвольной формы частотой 1 Гц...80 МГц) или XW3 (сигналы частотой 20...1250 МГц). Кнопкой SB3 запускают и останавливают измерение в режимах счётчика импульсов и измерения ухода частоты. Длительным (более 1 с) нажатием на эту кнопку переходят из режимаизмерения частоты в режим её деления и вывода результата на разъём XW1. Когда кнопки не нажаты, на входах микроконтроллера, с которыми они соединены, резисторы R12-R14 поддерживают высокие уровни.

Резисторы R4 и R6 создают постоянное смещение около 100 мВ на неинвертирующем входе компаратора DA1. Резисторы R5 и R7 - цепь положительной обратной связи, нужной для получения гистерезиса в характеристике переключения компаратора. Диоды VD1 и VD2 вместе с резистором R2 образуют двухсторонний ограничитель входного напряжения на инвертирующем входе компаратора.

Микросхема DD1, основное назначение которой - работа в синтезаторах частоты диапазона 1,2 ГГц, содержит два делителя частоты с переменным коэффициентом деления, которые и используются в описываемом приборе для деления частоты входных сигналов, подаваемых на разъёмы XW2 и XW3, в заданное число раз. Микроконтроллер устанавливает коэффициенты деления и режим работы этой микросхемы, подавая команды по её последовательному интерфейсу (входы Clock, Data, LE). В зависимости от установленного режима на выход Fo/LD поступает результат работы одного из этих делителей. Резистор R19 и конденсатор C19 образуют фильтр питания микросхемы DD1, а диоды VD3 и VD4 защищают от перегрузки вход одного из её делителей частоты, непосредственно связанный с разъёмом XW3. На триггере DD4.1 собран одновибратор, формирующий из выходных сигналов делителей частоты импульсы длительностью 0,5 мкс. Его времязадающая цепь - резистор R17 и конденсатор C10.

Формирователь импульсов, подаваемых на разъём XW1, собран на транзисторе VT1 с коллекторной нагрузкой - резистором R8. Он работает, когда на выходе RC5 микроконтроллера установлен высокий логический уровень. В противном случае формирователь выключен и не оказывает влияния на подаваемые на разъём XW1 внешние сигналы. Поэтому разъём XW1 может быть как входным при измерении частоты и длительности логических сигналов, а также при счёте импульсов, так и выходным в режимах деления частоты. Резистор R11 служит для защиты входа 0 селектора-мультиплексора DD2 от случайно поданных на разъём XW1 сигналов большой амплитуды.

Селектор-мультиплексор по командам микроконтроллера подаёт на его предназначенные для измерения частоты и длительности импульсов входы либо импульсы уровней ТТЛ с разъёма XW1, либо сигналы, поступившие на разъём XW2 и преобразованные в такие импульсы компаратором DA1, либо сигналы, поступившие на разъём XW3 и прошедшие через делитель частоты микросхемы DD1. Микроконтроллер выполняет основные операции измерения частоты, длительности и счёта импульсов. Он же выводит результаты измерений на ЖКИ HG1 и управляет работой всего прибора. Программа микро-контроллера написана на языке ассемблера MASM, входящего в состав среды разработки программ MPLAB IDEv7.5.

В режимах измерения частоты микроконтроллер подсчитывает импульсы, поступившие на вход T0CKI в течение выбранного пользователем измерительного интервала (0,01, 0,1, 1 или 10 с). При измерении частоты сигнала, поданного на разъём XW3, его частоту предварительно делит на 1000 один из делителей микросхемы DD1.

При измерении длительности импульсов высокого логического уровня микроконтроллер по нарастающему перепаду измеряемого импульса на входе INT начинает счёт импульсов частотой 1 МГц, полученных делением своей тактовой частоты. Он прекращает этот счёт по спадающему перепаду измеряемого импульса. В случае измерения длительности импульса низкого уровня счёт начинается по его спадающему перепаду, а завершается по нарастающему.

Как только включён режим измерения ухода частоты, микроконтроллер выполняет первое измерение частоты входного сигнала, затем периодически повторяет эти измерения. Программа вычитает результат первого измерения из каждого последующего и выводит текущую разность на индикатор. После остановки этого режима на ЖКИ отображаются максимальные зафиксированные завремя измерения отклонения частоты вниз и вверх от начальной.

Для измерения частоты следования логических импульсов с уровнями ТТЛ кнопкой SB2 выбирают входной разъём XW1. Микроконтроллер формирует на выходах RC0-RC2 код 000, переводя этим селектор DD2 в состояние, при котором сигнал с разъёма XW1 поступает на входТОСК1 микроконтроллера для измерения частоты и на его же вход INT для измерения длительности импульсов. Результаты измерений программа выводит на ЖКИ HG1 (рис. 2), причём длительности импульсов высокого (H) и низкого (L) уровней на экране чередуются. Код в правой части верхней строки означает заданное время счёта: "10" - 10 с, "1" - 1 с, ",1" - 0,1 с и ",01" - 0,01 с. В правой части нижней строки выводится условное обозначение выбранного входного разъёма: TTL - XW1, VHF - XW2, UHF - XW3.

Рис. 2. Результаты измерений, выводимые программой на ЖКИ HG1

Измеряя частоту аналоговых сигналов (до 80 МГц), кнопкой SB2 выбирают входXW2. На выходах RC0-RC2 микроконтроллер формирует код 001, переводя мультиплексор DD2 в положение, в котором сигнал с разъёма XW2, преобразованный в прямоугольные импульсы компаратором DA1, поступает на вход TOCKI микроконтроллера. Программа измеряет частоту сигнала и выводит результат на ЖКИ (рис. 3).

Рис. 3. Результаты измерений, выводимые программой на ЖКИ HG1

Для измерения ВЧ-сигналов частотой до 1250 МГц кнопкой SB2 выбирают входной разъём XW3. С него сигнал поступает на вход f IN имеющегося в микросхеме DD1 делителя частоты. Коэффициент деления задан микроконтроллером равным 1000. Сигнал с выхода делителя частоты, преобразованный в импульсы длительностью около 0,5 мкс одновибратором на триггере DD4.1, поступает через мультиплексор DD2 на вход TOCKI микроконтроллера. Мультиплексор установлен в нужное для этого состояние кодом 010 на выходах RC0-RC2 микроконтроллера. Программа микроконтроллера измеряет частоту и с учётом коэффициента деления выводит результат на ЖКИ (рис. 4).

Рис. 4. Результаты измерений, выводимые программой на ЖКИ HG1

Подлежащие счёту импульсы подают на входной разъём XW1 или XW2. Кнопкой SB2 выбирают один из этих входов, а кнопкой SB1 - режим COUNTER (рис. 5). Счёт запускают нажатием на кнопку SB3, что сопровождается заменой на экране метки OFF (выключено) меткой ON (включено). Для остановки счёта на кнопку SB3 нажимают повторно, при этом метку ON сменяет метка OFF. Накопленное за время от запуска до остановки число импульсов программа показывает на ЖКИ.

Рис. 5. Результаты измерений, выводимые программой на ЖКИ HG1

Чтобы измерить уход частоты, сигнал (в зависимости от его формы и частоты) подают на один из входных разъёмов XW1-XW3, выбирают кнопкой SB2 этот разъём, а кнопкой SB1 - функцию "+/-FREQUENCV (её название сопровождается меткой OFF). Измерение запускают нажатием на кнопку SB3, при этом метку OFF сменяет метка ON. Прибор измеряет уход частоты и выводит его текущее значение на ЖКИ (рис. 6). После повторного нажатия на кнопку SB3, останавливающего измерение, на ЖКИ появляются максимальные зафиксированные за время измерения значения ухода частоты вверх и вниз от исходной (рис. 7).

Рис. 6. Результаты измерений, выводимые программой на ЖКИ HG1

Рис. 7. Результаты измерений, выводимые программой на ЖКИ HG1

Для деления частоты аналогового сигнала частотой до 80 МГц кнопкой SB2 выбирают входной разъём XW2 и подают на него сигнал, частота которого подлежит делению. С выхода компаратора DA1 он поступает на вход OSCIN делителя частоты R_Counter микросхемы DD1. Микроконтроллер задаёт по последовательному интерфейсу необходимый коэффициент деления этого делителя и подключает его выход к выходу Fo/LD микросхемы. Нажатиями на кнопку SB1 коэффициент деления уменьшают, а на кнопку SB2 - увеличивают. Чем дольше удерживают кнопку нажатой, тем быстрее изменяется коэффициент.

На выходе RC5 микроконтроллер устанавливает высокий уровень, переключая разъём XW1 в режим выхода. На своих выходах RC0-RC2 микроконтроллер формирует код 000, поэтому сигнал, выведенный на разъём, поступает и на входТ0СКI микроконтроллера для измерения частоты. Длительность импульсов в этом режиме не измеряется.

Рис. 8. Результаты измерений, выводимые программой на ЖКИ HG1

На рис. 8 показан результат деления частоты 19,706 МГц поданного на разъём XW2 сигнала на 100. В этом случае на выходе XW1 с частотой 197,06 кГц следуют импульсы высокого логического уровня длительностью 0,5 мкс. Сигналы частотой от 50 до 1200 МГц подают для деления на разъём XW3. Они обрабатываются аналогично, отличие лишь в том, что в операции участвует более высокочастотный делитель частоты N-Counter микросхемы DD1. На рис. 9 показан результат деления частоты 200,26 МГц на 2000. Частота на выходе - 100,13 кГц.

Рис. 9. Результаты измерений, выводимые программой на ЖКИ HG1

Частотомер смонтирован на печатной плате из фольгированного с двух сторон стеклотекстолита толщиной 1 мм. Её чертёж показан на рис. 10, а размещение элементов - на рис. 11. Постоянные резисторы и большинство конденсаторов имеют типоразмер 0805 для поверхностного монтажа. Подстроечные резисторы R21 и R23 - SH-655MCL, подстроечный конденсатор C13 - TZC3P300A110R00. Оксидные конденсаторы С4 и C6 - алюминиевые с проволочными выводами.

Рис. 10. Печатная плата частотомера

Рис. 11. Размещение элементов на плате

Разъёмы XW1-XW3 - 24_BNC-50-2-20/133_N . Они соединены с платой отрезками коаксиального кабеля с волновым сопротивлением 50 Ом длиной около 100 мм. Кнопки SB1-SB3 - TS-A3PG-130. Индикатор HG1 укреплён над платой на стойках высотой 10 мм винтами М3.

Прибор собран в пластмассовом корпусе Z-28 . На его передней панели вырезано прямоугольное отверстие размерами 70x25 мм для экрана ЖКИ и просверлены три отверстия диаметром 3 мм под кнопки. Сами кнопки установлены на стеклотекстолитовой плате размерами 100x12x1,5 мм, прикреплённой к передней панели с обратной стороны винтами M3. С левой стороны корпуса установлено гнездо питания, а с правой - его выключатель. Входные байонетные разъёмы размещены на задней стенке корпуса.

Налаживание частотомера заключается в следующем:

Установите подстроечным резистором R21 оптимальную контрастность изображения на экране ЖКИ;

Установите подстроечным резистором R23 необходимую яркость подсветки ЖКИ;

Установите подстроечным конденсатором C13 тактовую частоту микроконтроллера в точности равной 4 МГц. Для этого к разъёму XW1 подключите цифровой частотомер (Ч3-63 или любой другой), включите налаживаемый прибор при нажатой кнопке SB3 (при этом на ЖКИ должна появиться надпись "TEST") и, вращая ротор подстроечного конденсатора C13, добейтесь показаний внешнего частотомера, максимально близких к 100000 Гц. Не забывайте, что погрешность установки этой частоты непосредственным образом влияет на погрешность налаживаемого прибора.

Литература

1. Ultrafast, 4 ns Single-Supply Comparators AD8611/AD8612. - URL: http://www.analog. com/media/en/technical-documentation/ data-sheets/AD8611_8612.pdf (02.11.2015).

2. PLLatinum™ LowPower Frequency Synthesizer for RF Personal Communications LMX2306 550 MHz, LMX2316 1.2 GHz, LMX2326 2.8 GHz. - URL: http://www.ti.com/lit/ds/ symlink/lmx2326.pdf (02.11.2015).

3. 74HC74, 74HCT74 Dual D-type flip-flop with set and reset; positive edge-trigger. - URL: http://www.nxp.com/documents/data_sheet/ 74HC_HCT74.pdf (02.11.2015).

4. 74HC151, 74HCT151 8-input multiplexer. - URL: http://www.nxp.com/documents/data_ sheet/74HC_HCT151.pdf (02.11.2015).

5. PIC16F87XA Data Sheet 28/40/44-Pin Enhanced Flash Microcontrollers. - URL: http://akizukidenshi.com/download/PIC16F 87XA.pdf (02.11.2015).

6. WH1602B character 16x2. - URL: http:// www.winstar.com.tw/download.php?ProID= 22 (17.11.15).

7. Coaxial Cable Connector: 24_BNC-50-2-20/133_N. - URL: http://www.electroncom. ru/pdf/hs/bnc/24bnc50-2-20_133n.pdf (16.11.15).

8. Корпус Z-28. - URL: http://files.rct.ru/ pdf/kradex/z-28.pdf (16.11.15).

Чертёж печатной платы в формате Sprint Layout 5.0 и программу микроконтроллера можно скачать .


Дата публикации: 16.02.2016

Мнения читателей
  • Владимир / 20.01.2017 - 10:55
    Вышли еще две версии частотомера. Третья версия опубликована в журнале "Радиолюбитель" №8,9. Четвертая: https://cloud.mail.ru/public/4EKo/QaTMuiDMv

При настройке радиотелефона, описанного в , возникли проблемы с поиском недорогого корпуса трубки. Случайно под руки попался неисправный калькулятор, который ремонту не подлежал из-за особенностей электрической схемы - так называемый "пустой корпус" и БИС в виде одной плоской капли на монтажной плате. Сам по себе изящный корпус HL-812E размером 125x70x18 мм было жалостно выбросить, и после некоторых раздумий было решено попробовать собрать схему трубки радиотелефона. Довольно глубокая ниша размером 54x78x8 мм в принципе давала вероятность разместить все детали при небольшой доработке нижней крышки (пришлось просверлить и вырезать в ней два отверстия: под капсюль микрофона - в нижнем правом углу, и телефона - в верхнем правом углу). Для установки телескопической антенны просверлено отверстие в левой части верхнего торца корпуса калькулятора. Нижний конец антенны закреплен с помощью маленькой скобы к плате бывшего калькулятора. Дорожки, идущие к БИС от кнопок 0; 1; 2; 3; ...9; "OFF"; "С" и "АС" нужно перерезать и распаять к соответствующим точкам схемы трубки (рис. Схема недогрева паяльника 1 в ). При сборке применены малогабаритные резисторы УЛМ-0,12, конденсаторы КД, КМ-6, К10-17 и К50-40, электролитические конденсаторы серии К53-30. Вместо УЛМ-0,12 можно применить резисторы типа МЛТ-0,125 Вт. Батарейный отсек в верхней части калькулятора (под ЖКИ индикатором) используется по своему прямому назначению - для размещения аккумулятора питания трубки. Вся собранная схема закрыта самодельной защитной крышкой размером 105x55 мм, закрепляемой саморезами через штатные отверстия корпуса.Неиспользуемые кнопки клавиатуры, такие как "V ";"%"; "MR"; "M-"; "М+"; V; "х";"-";"+"; "=";".", можно прикрыть самодельными, из пластмассы такого же цвета, что и корпус, заглушками, приклеив их к плате калькулятора. В кнопке"+" следует просверлить несколько отверстий диаметром 1,5...2,0 мм. К плате данную кнопку не приклеивают, так как она закрывает микрофон и крепится клеем к верхней крышке. Также в верхне...

Для схемы "Цифровой ревербepaтор"

Цифровая техникаЦифровой ревербepaторГ. Брагин. RZ4HK г. ЧапаевскЦифровой ревербератор предназначается для создания эхо-эффекта за счет задержки звукового сигнала, подаваемого на балансный модулятор трансивера. Задержанный НЧ сигнал, оптимально смешанный с основным, придает передаваемому сигналу специфическую окраску, что улучшает разборчивость при проведении радиосвязи в условиях помех, делает его "накачанным" - считается, что при этом снижается пик-фактор. (Но кто-бы мне это доказал? RW3AY) (Иллюзия снижения пик-фактора речи появляется за счет заполнения интервалов между периодами основного тона речи, задержанным во времени тем же сигналом. (RX3AKT))Ревербератор, приведенный на рис.1, состоит из микрофонного и выходного суммирующего усилителей, собранных на сдвоенном операционном усилителе К157УД2, аналого-цифрового (АЦП) и цифро-аналогового (ЦАП) преобразователей - микросхемы К554САЗ и К561ТМ2 и узла задержки, выполненного на микросхеме К565РУ5. Простой терморегулятор на симисторе В схеме кодировки адресов применяются микросхемы К561ИЕ10иК561ПС2. Принцип работы подобного ревербератора довольно подробно был изложен в . Резистором R1, изменяя частоту тактового генератора, можно регулировать час задержки. Резисторами R2 и R3 подбирается глубина и уровень реверберации, соответственно. Манипулируя этими резисторами, оптимизируется работа всего ревербератора. Конденсаторами, обозначенными (*), нужно достичь наилучшего качества сигнала по минимуму шумов. Большие искажения в задержанном сигнале свидетельствуют о неисправной микросхеме в узле кодировки адресов. Ревербератор собран на печатной плате из двухстороннего стеклотекстолита 130х58 мм. После сборки и настройки плата помещается в металлическую экранирующую коробочку соответствующего размера. Литература1. "В помощь радиолюбителю" № 95, стр.29. 2. Журнал "Радио" N 1 - 86...

Для схемы "Приемник на микросхеме TDA7000 (174XA42)"

РадиоприемРадиоприемник на микросхеме >TDA7000 (174XA42)/img/tda7000.gifДиапазон частот микросхемы 1,5-150 МГц.В скобках указаны номиналы конденсаторов для узкополосной ЧМ(при этом 3-ю ножку микросхемы можно оставить свободной).Чертеж печатной платы со стороны проводниковЧертеж печатной платы со стороны элементовЛитература:1. К174ХА42 - однокристальный ЧМ приемник. N 1 1997 г.2. Однокристальные ЧМ приемники. Радио N 2 1997 г.3. Радиоприемные устройства на микросхеме К174ХА42А. N 5 1997 г....

Для схемы "VOX В ТРАНСИВЕРЕ UA3RR"

Узлы радиолюбительской техникиVOX В ТРАНСИВЕРЕ UA3RRЕ. ЖЕБРЯКОВ, г. Борислав Львовской обл. Схема устройства голосового менеджмента (VOX) трансивером конструкции И. Чуканова-UA3RR ("Радио". 1973, № 11) приведена на рисунке.Переключатель В1 при работе с VOX блокирует контакты Кн1 и подает питание на устройство, а при работе с менеджментом педалью блокирует конткаты Р8/1 реле Р8 и отключает питание. № 7, 1975 г. с.15...

Для схемы "Компьютерный ТВ-тюнер в роли частотомера"

Так уж случилось, что у меня нет с возможностью измерения частот выше 100 МГц. И проблема отнюдь не в том. что не из чего собрать необходимый делитель частоты и прибавить ещё один разряд в уже имеющийся самодельный частотомер на микропроцессоре 1030ВЕ31. Дело в том. что частоты выше 100 МГц приходится измерять не чаще раза в несколько лет. и необходимости в гаком приборе, как будто бы, нет. Но все же, нет-нет, да и понадобится, а как же тогда быть?Как-то в одном из журналов для радиолюбителей рассказывалось о том. что частоту можно измерять с помощью УКВ-приемника с цифровой индикацией частоты. Речь шла о популярных в 90-х годах прошлого века карманных "китайских" радиоприемниках с низкой ПЧ и автосканированием УКВ диапазона (65. .110 МГц). 8 настоящее пора для измерения существенно большего диапазона частот можно использовать компьютерный ТВ-тюнер, предназначенный для приема аналоговых сигналов эфирного или кабельного телевидения.Если имеется внутренний PCI или PCI-Express тюнер, то чтобы превратить его в частотомер, довольно изготовить простейший переходник по схеме, показанной на рис.1. Укв схема Переходник состоит из отрезка коаксиального кабеля длиной до 2 м, резистора, конденсатора, стандартного антенного штекера, зажима "крокодил", иглы-щупа и 4-5 ферритовых цилиндриков 600НН от контуров ПЧ старых радиоприемников. Цилиндрики нанизываются на кабель со стороны подключения к тюнеру. Коаксиальный кабель подключается к антенному гнезду тюнера, "крокодил" - к общему проводу ("массе") тестируемого устройства, а щуп - к местам прохождения ВЧ-сигнала. благодаря высокой чувствительности ТВ-тюнеров, иглу щупа в большинстве случаев более того не придется подключать, например, к выводам обмоток контура, выводам транзистора или кварца. Достаточно просто поднести шуп на расстояние 2...10 мм, и он, как антенна, "поймает" измеряемую частоту.Чтобы провес...

Для схемы "УСОВЕРШЕНСТВОВАНИЕ ТРАНСИВЕРА UW3DI"

Радиопередатчики, радиостанцииУСОВЕРШЕНСТВОВАНИЕ ТРАНСИВЕРА UW3DIА. ЖУКОВСКИЙ (UB5UWI), г. КиевДля повышения оперативности и удобства при работе в режиме CW целесообразно в лампово-полупроводниковом трансивере UW3D1 уменьшить пора задержки системы VOX по сравнению с режимом SSB. Для этого в режиме CW параллельно резистору 1-R4 включают прибавочный резистор. Изменения, которые нужно ввести в VOX трансивера (см. Ю. Кудрявцев. Лампово-полупроводниковый трансивер. - "Радио", 1974, № 4), отмечены на рисунке штриховыми линиями.РАДИО11. 1982 г. с.20....

Для схемы "Усилители на основе логических ИМС"

Радиолюбителю-конструкторуУсилители на основе логических ИМСУ многих радиолюбителей скопились микросхемы старых типов, которые и выбросить жалостно, и приспособить некуда. Так вот цифровый интегральные микросхемы (простая логика) могут с успехом применяться в качестве аналоговых усилителей. Схемы включения и параметры усилителей для некоторых серий микросхем приведены ниже на рисунке и в таблице.Серия П а р а м е т рРис.КFизм, МГцFmax, МГцР, мВт Uвых, В Rвх, КомRвых, КомR1, КомR2, КомR3, КомKp, дб11311417817613613413113713315546,025,015,012,58,018,020,04,88,08,00,0010,10,10,11,03,01,020,01,01,00,060,350,250,22,55,540,050,040,040,00,2520,2535,065,05,02,0125,050,020,020,02,02,78,05,01,21,52,00,51,21,224,07,0--0,60,40,20,50,60,620,05,03,06,00,050,050,030,050,050,051,61,68,06,20,687,51,00,750,680,68--2,04,00,685,11,01,60,680,68-------1,0--30583650303030253025ааггбббвбб "Радиотехника" N 8, 1980 г....

Для схемы "Высокоэффективный балансный модулятор-детектор"

Узлы радиолюбительской техникиВысокоэффективный балансный модулятор-детекторМ.Саттаров. пос.Иноземцево Ставропольского краяМир состоит из парадоксов - открытия делают те, кто просто не знает, что так совершать нельзя, и делают... и открывают! Может в изложенной в этом месте идее что-то есть? Теоретики! Найдите объяснение факту. И, пожалуйста, будьте снисходительны. RX3AKT.Для повышения эффективности смесителей на полевых транзисторах в пассивном режиме просторно используется прямоугольная форма управляющих импульсов. Более эффективным способом повышения разборчивости, на мои взгляд, является использование узких импульсов, когда длительность единичного состояния составляет сотые и более того тысячные доли нулевой длительности. (Красиво сказано, не правда-ли?) На слух это воспринимается как подъем высоких частот. Резко повышается разборчивость речевого сигнала. Частотная характеристика становится более равномерной. Балансный модулятор-детектор, рис.1, собран по известной схеме А.Погосова (см. Схемы конвертера радиолюбителя "Радио" №10-81). менеджмента содержит кварцевый генератор, собранный на микросхеме DD1, делитель частоты на 4 (он же фазовращатель) - на МС DD2 и фазовый дискриминатор на МС DD3 и DD4. Сигнал прямоугольной формы с кварцевого генератора 1 МГц поступает на цифровой фазовращатель (делитель на 4). С его выхода снимаются два противофазных сигнала с частотой 250 кГц. Известно, что в противофазном сигнале вечно имеется некоторая ошибка в разности фаз, связанная с нестабильной работой фазовращателя, которая и выделяется фазовым дискриминатором. Выделенный фазовым дискриминатором сигнал, пропорциональный ошибке фазовращателя, является опорной частотой для балансного модулятора-детек-тора, с...

Для схемы "ЧАСТОТОМЕР"

Измерительная техникаЧАСТОТОМЕР Параметры предлагаемого частотомера приведены в табл. 1.Режим работыЧастотомерЧастотомерЦифровая шкалаДиапазон измерений1 Гц..20 МГц1 МГц..200 МГц1 МГц..200 МГцДискретность1Гц10 Гц100 ГцЧувствительность40 мВ100 мВ100 мВДанный частотомер, на мои взгляд, обладает целым рядом преимуществ по сравнению с предшествующими:- современная дешевая и легко доступная элементная база;- максимальная измеряемая частота - 200 МГц;- совмещение в одном приборе и цифровой шкалы;- вероятность увеличения максимальной измеряемой частоты до 1,2 ГГц при незначительной доработке входной части прибора;- вероятность коммутации во час работы до 4 ПЧ.Измерение частоты осуществляется классическим способом: подсчет количества импульсов за фиксированныйинтервал времени.Принципиальная схема представлена на рис.1.Входной сигнал через конденсатор С4 поступает на базу транзистора VT1, который усиливает входной сигнал до уровня, необходимого для нормальной работы микросхемы DD2. Автоматическое отключение радиоаппаратуры Микросхема DD2 193ИЕЗ представляет собой высокочастотный делитель частоты, коэффициент деления которого равен10. Ввиду того что в используемое микроконтроллере К1816ВЕ31 максимальная частота счетного входа Т1 f=Fкв/24, где Fкв - частота используемого кварца, а в частотомере Fкв=8,8672 МГц, сигнал с высокочастотного делителя поступает на прибавочный делитель частоты, представляющий собой десятичный счетчик DD3. Процесс измерения частоты начинается с обнуления делителя DD3, сигнал сброса которого поступает с вывода 12 микроконтроллера DD4. Сигнал разрешения прохождения измеряемого сигнала на десятичный делитель поступает с вывода 13 DD4 через инвертор DD1.1 на вывод 12 DD1.3.По окончании фиксированного интервала времени и...

Для схемы "ЧАСТОТОМЕР - ЦИФРОВАЯ ШКАЛА"

Измерительная техникаЧАСТОТОМЕР - ЦИФРОВАЯ ШКАЛАУстройство выполняет следующие функции: - с выводом измеренного значения частоты в герцах (до 8 разрядов); - цифровой шкалы с АПЧ генератора плавного диапазона (ГПД) для радиолюбительского трансивера; - электронных часов. Основу устройства составляет программируемый контроллер PIC16F84 фирмы MICROCHIP. Большое быстродействие и широкие функциональные возможности этого контроллера позволяют подавать сигнал частотой до 50 МГц прямо на его счетный вход, т.е. можно обойтись без предварительного делителя, обычно применяемого в устройствах подобного типа. Основные параметры Диапазон измеряемых частот, МГц 0...50 Диапазон программируемых значений ПЧ, МГц 0...16 Минимальный уровень входного сигнала, мВ 200 Время измерения частоты, с 1 Погрешность измерения, Гц ±1 Напряжение питания, В 5±0,5 Ток потребления устройства, мА, не более 30Наличие электрически перепрограммируемой памяти данных внутри PIC16F84 позволило без специального оборудования перепрограммировать роль промежуточной частоты (ПЧ). Симистор тс112 и схемы на нем Это дает вероятность оперативно встраивать цифровую шкалу в трансивер с любым (О... 16 МГц) значением промежуточной частоты. В качестве устройства индикации применен модуль ЖКИ от телефонных аппаратов типа "PANAPHONE". Ввод информации в модуль осуще-ствляется по двум линиям в последовательном коде. Полезной оказалась встроенная функция электронных часов. Малый ток потребления обуславливает малые помехи радиоприемной аппаратуре, в которую может встраиваться данное устройство. Схема устройства приведена на рис.1. На транзисторе VT1 и микросхеме DD1 выполнен формирователь входного сигнала. Микросхема DD2 выполняет функции контроллера частотомера, цифровой шкалы с АПЧ, менеджмента модулем ЖКИ, а также позволяет оперативно изменять режим работы устройства. Если на выводе 1 микросхемы DD2 присутствует уровень логической "1", то устрой...

В тематический план кружка 3-го года занятий надо включить изучение и конструирование устройств цифровой техники повышенной сложности, например цифрового частотомера.

Примером такого измерительного прибора может стать описываемый здесь пятиразрядный частотомер с цифровой индикацией результатов измерения, разработанный в радиокружке станции юных техников г. Березовский Свердловской области под руководством В. Иванова. Прибор позволяет измерять частоту электрических колебаний в пределах 100...99999 Гц и может быть использован для настройки различных генераторов, электронных часов, устройств автоматики. Амплитуда входного сигнала - 1...30 В.

Рис. 130. Структурная схема цифрового частотомера

Структурная схема частотомера показана на рисунке 130. Его основные элементы: формирователь импульсного напряжения сигнала fх измеряемой частоты, генератор образцовой (эталонной) частоты, электронный ключ, счетчик импульсов с блоком цифровой индикации и управляющее устройство, организующее работу прибора. Принцип его действия основан на измерении числа импульсов, поступающих на вход счетчика в течение строго определенного времени, равного в данном приборе 1 с. Этот необходимый измерительный интервал времени формируется в блоке управления.

Сигнал fх, частоту которого надо измерить, подают на вход формирователя импульсного напряжения. Здесь он преобразуется в импульсы прямоугольной формы, частота следования которых соответствует частоте входного сигнала. Далее преобразованный сигнал поступает на один из входов электронного ключа, А на второй вход ключа подается сигнал измерительного интервала времени, удерживающий его в открытом состоянии в течение 1с.

В результате на выходе электронного ключа, а значит, и на входе счетчика появляется пачка импульсов. Логическое состояние счетчика, в котором он оказывается после закрывания ключа, отображает блок цифровой индикации в течение интервала времени, устанавливаемого устройством управления.

Принципиальная схема частотомера показана на рисунке 131. Кроме транзисторов, в частотомере используют восемь цифровых микросхем серии К176 и пять (по числу разрядов) семисегментных люминесцентных индикаторов типа ИВ-6. В микросхему К176ИЕ12 (D1), предназначаемую специально для электронных часов, входит генератор (условный символ G), рассчитанный на совместную работу с внешним кварцевым резонатором Z1 на частоту 32 768 Гц. Делители частоты микросхемы делят частоту генератора до 1 Гц. Эта частота, формируемая на соединенных вместе выводах 4 и 7 микросхемы, и является в частотомере образцовой.

В микросхеме К176ЛЕ5 (D2) четыре логических элемента 2ИЛИ-НЕ, а в микросхеме К176ТМ1 (D3) -два D-триггера. Один из элементов 2ИЛИ-НЕ выполняет функцию электронного ключа (D2.4), а три других и оба D-триггера работают в устройстве управления.

Каждая из микросхем К176ИЕ4 (D4-D8) содержит декадный счетчик импульсов, т. е. счетчик до 10, и преобразователь (дешифратор) ее логического состояния в сигналы управления семи-сегментным индикатором. На выходах а-д этих микросхем формируются сигналы, обеспечивающие индикаторам Н1 - Н5 свечение цифр, значение которых соответствует логическому состоянию счетчиков. Микросхема D4 и индикатор H1 образуют младший счетный разряд, а микросхема D8 и индикатор Н5 - старший счетный разряд частотомера.

В конструкции прибора индикатор Н5 д6лжен быть крайним слева, а H1 - крайним справа.

Для питания микросхем, транзисторов и управляющих электродов индикаторов можно использовать две соединенные последовательно батареи 3336Л (GB1), а для питания нитей накала индикаторов - один элемент 343 или 373 (G1).

Формирователь импульсного напряжения образуют транзисторы V2-V5. Сигнал fx, поданный на его вход через гнездо X1, переключатель S1, конденсатор С1 и резистор R1, усиливается и ограничивается по амплитуде дифференциальным каскадом на транзисторах V2 и УЗ. С нагрузочного резистора R5 сигнал поступает на базу транзистора V4 второго каскада, работающего как инвертор. Резистор R8, создающий между этими каскадами положительную обратную связь, обеспечивает им триггерныи характер работы. При этом на коллекторе транзистора V4 формируются импульсы с крутыми фронтами и спадами, частота следования которых соответствует частоте исследуемого сигнала. Каскад на транзисторе V5 ограничивает напряжение импульсов до уровня, обеспечивающего микросхемам необходимый режим работы Далее преобразованный сигнал поступает на входной вывод 12 электронного ключа D2.4. Второй входной вывод ключа подключен к выходу формирователя измерительного интервала времени, равного 1 с. Поэтому число импульсов, прошедших за это время через электронный ключ к счетчику, высвечивается индикаторами в единицах Герц.

Рис. 132. Временные диаграммы, иллюстрирующие работу управляющего устройства частотомера

Работу управляющего устройства иллюстрируют временные диаграммы (рис. 132).

На вход С (вывод 11) триггера D3.2 непрерывно поступают импульсы генератора образцовой частоты (рис. 132,а), а на такой же вход триггера D3.1 - импульсы генератора запуска, собранного на логических элементах D2.1 и D2.2 (рис. 132, б). За исходный примем случай, когда оба триггера находятся в нулевом состоянии. В это время напряжение высокого уровня, действующее на инверсном выходе триггера D3.2, поступает на входной вывод 13 электронного ключа D2.4 и закрывает его. С этого момента через ключ прекращается прохождение импульсов сигнала измеряемой частоты на вход счетчика. С появлением на входе С триггера D3.1 импульса генератора запуска этот триггер принимает единичное состояние и напряжением высокого уровня на прямом выходе подготавливает триггер D3.2 к дальнейшей работе. Одновременно на выводе 9 элемента D2.3, соединенном с инверсным выходом триггера D3.1, появляется напряжение низкого уровня. Очередной импульс генератора образцовой частоты переключает триггер D3.2 в единичное состояние. Теперь на его инверсном выходе и на выводе 13 элемента D2.4 будет напряжение низкого уровня, которое открывает электронный ключ и тем самым разрешает прохождение через него импульсов сигнала измеряемой частоты.

Прямой выход триггера D3.2 (вывод 13) соединен с R-входом (вывод 4) триггера D3.1. Следовательно, когда триггер D3.2 оказывается в единичном состоянии, он, воздействуя напряжением высокого уровня на прямом выходе переключает триггер D3.1 в нулевое состояние. Этот триггер находится в нулевом, состоянии до тех пор, пока сохраняется интервал измерительного времени. Очередной импульс генератора образцовой частоты на входе С триггера D3.2 переключает его в нулевое состояние и напряжением высокого уровня на инверсном выходе закрывает электронный ключ. В результате прекращается прохождение импульсов сигнала измеряемой частоты к счетчику и начинается цифровая индикация результатов измерения (рас 132,(5, ж).

Каждому интервалу измерительного времени предшествует появление на выводах 5 R-входов микросхем D4-D8 кратковременного импульса положительной полярности (рис. 132, г), сбрасывающего триггеры счетчика в нулевое состояние. С этого момента и начинается цикл счет - индикация работы частотомера. Формирование импульсов сброса происходит на выходе логического элемента D2.3 в моменты совпадения на его входах напряжений низкого уровня. Время индикации можно плавно изменять в пределах 2...5 с резистором R17 генератора импульсов запуска.

Светодиод V7 в коллекторной цепи транзистора V6, работающего в режиме ключа, служит для визуального наблюдения, за длительностью времени индикации.

В частотомере предусмотрена возможность контроля его работоспособности. Для этого переключатель S1 переводят в положение «Контроль», при котором входная цепь прибора оказывается соединенной с выводом 14 микросхемы D1 генератора образцовой частоты. При исправной работе частотомера индикаторы должны высвечивать частоту 32 769 Гц.

Рис. 133. Внешний вид частотомера

Внешний вид описанного частотомера показан на рисунке 133. Через удлиненное прямоугольное отверстие в лицевой стенке корпуса, прикрытое пластинкой зеленого органического стекла, хо-
рошо видны светящиеся цифры индикаторов. Слева от отверстия расположен «глазок» светодиодного индикатора V7. Под ним находится переменный резистор R17 установки длительности индикации результата измерения и входное гнездо X1. Слева от них -выключатель питания S2 («Я») и двухсекционный переключатель S1 «Измерение-контроль». При нажатии на кнопку «K» (контроль) вход формирователя импульсного напряжения подключается к генератору образцовой частоты, а при нажатии на кнопку «И» (измерение) - к входному гнезду X1.

Другие детали частотомера смонтированы на двух печатных платах размерами 115X60 мм, выполненных из фольгированного стеклотекстолита толщиной 1 мм. На одной из них (рис. 134, а) находятся детали формирователя импульсного напряжения, генератора образцовой частоты и устройства управления, на другой (рис 134, б)-микросхемы D4-D8 и цифровые индикаторы H1- Н5. Все постоянные резисторы типа МЛТ. Подстроечный резистор R3 - СПЗ-16, переменный R17 может быть любого типа. Оксидные конденсаторы СЗ и С5- К50-6 или К53-1А, неполярные С1 и С8 - К53-7 (можно заменить наборами конденсаторов типа К73-17). Конденсаторы С2, С4 могут быть типа КЛС или К73-17, С6 - керамический КТ-1, КМ, подстроечный конденсатор С7- КПК-МП. Переключатель S1 «Измерение-контроль» образуют два кнопочных переключателя П2К с зависимой фиксацией в нажатом положении; выключатель питания S2 - тоже П2К, но без фиксации, т. е. с возвратом в исходное положение при повторном нажатии на кнопку.

Микросхему К176ИЕ12 можно заменить на подобную ей микросхему К176ИЕ5, скорректировав соответственно печатные проводники монтажной платы. Цифровые индикаторы могут быть типа ИВ-3А (вместо ИВ-6), но тогда в цепь питания их нитей накала надо будет включить резистор сопротивлением 2 Ом на мощность рассеяния 0,5 Вт.

Налаживание безошибочно смонтированного частотомера сводится в основном к установке наилучшей чувствительности формирователя импульсного напряжения и, если надо, к подстройке генератора образцовой частоты. При установке необходимой чувствительности на вход частотомера подают от генератора 34 сигнал с амплитудой 1 В, к выходу электронного ключа D2.4 подключают осциллограф и подстроечный резистором R3 добиваются появления на экране осциллографа пачек импульсов. Подстройку образцовой частоты генератора производят: грубо - подбором конденсатора С6, точно - подстроечный конденсатором С7. Точность настройки контролируют по образцовому частотомеру, подключенному к выводу 14 микросхемы D1.

Если уж браться за создание цифрового частотомера, то делать сразу универсальный измерительный прибор, способный мерять частоты не до пары десятков мегагерц (что свойственно ), а до 1000 МГц . При всём этом, схема не сложнее стандартной, с использованием pic16f84 . Отличие лишь в установке входного делителя, на специализированной микросхеме SAB6456 . Этот электронный счетчик будет полезен для измерения частоты различного беспроводных оборудования, особенно передатчиков, приемников и генераторов сигналов в диапазонах УКВ.

Технические характеристики частотомера

- Напряжение питания: 8-20 V
- Потребляемый ток: 80 мА макс. 120 мА
- Входная чувствительность: макс. 10 мВ в 70-1000 МГц диапазон
- Период измерения: 0,08 сек.
- Частота обновления информации: 49 Гц
- Диапазон: 0,0 до 999,9 МГц, разрешение 0,1 МГц.

Особенности и преимущества схемы. Быстрая работа - короткий период измерения. Высокая чувствительность входного сигнала в диапазонах СВЧ. Переключаемое промежуточное смещение частоты для использования его совместно с приемником - в качестве цифровой шкалы.

Принципиальная схема самодельного частотомера на PIC

Список деталей частотомера

R1 - 39 k
R2 - 1 k
R3-R6 - 2,2 k
R7-R14 - 220
C1-C5, C6 - 100-n mini
C2, C3, C4 - 1 n
C7 - 100 ед.
C8, C9 - 22 p
IC1 - 7805
IC2 - SAB6456 (U813BS)
IC3 - PIC16F84A
T1 - BC546B
T2-T5 - BC556B
D1, D2 - BAT41 (BAR19)
D3 - HD-M514RD (красный)
X1 - 4.000 МГц кварц


Вся необходимая информация по прошивке микроконтроллера, а также полное описание микросхемы SAB6456, находятся в архиве . Данная схема многократно испытана и рекомендована к самостоятельному повторению.

Данная статья предназначена для тех, кто не хочет «заморачиваться» с МК.

Каждый радиолюбитель в процессе своей творческой деятельности сталкивается с необходимостью оборудования своей «лаборатории» необходимыми измерительными приборами.
Одним из приборов - это частотомер. У кого есть возможность, тот покупает готовый, а кто-то и собирает свою конструкцию, по своим возможностям.
Сейчас много различных конструкций, выполненных на МК, но встречаются и на цифровых микросхемах (как говорится «гугл в помощь!»).
После «ревизии» в своих закромах обнаружилось, что имеются в наличии цифровые микросхемы серий 155, 555, 1533, 176, 561, 514ИД1(2) (простая логика - ЛА, ЛЕ, ЛН, ТМ, средней сложности - ИЕ, ИР, ИД, еще 80-90 г.г. выпуска, выбрасывать их - «жаба» задавила!) на которых можно собрать не сложный приборчик, из тех компонентов, которые были под рукой в данный момент.
Захотелось просто творчества, поэтому приступил к разработке частотомера.

Рисунок 1.
Внешний вид частотомера.

Блок-схема частотомера:

Рисунок 2.
Блок-схема частотомера.

Входное устройство-формирователь.

Схему взял из журнала «Радио» 80-х годов (точно не помню, но вроде как частотомер Бирюкова). Ранее повторял её, работой был доволен. В формирователе использована К155ЛА8 (уверенно работает на частотах до 15-20 мГц). При использовании в частотомере микросхем 1533 серии (счётчики, входной формирователь) рабочая частота частотомера составляет 30-40 мГц.


Рисунок 3.
Входной формирователь и ЗГ измерительных интервалов.

Задающий генератор, формирователь измерительных интервалов.

Задающий генератор собран на часовой МС серии К176, изображён на рисунке №3 вместе с входным формирователем.
Включение МС К176ИЕ12 типовое, каких-либо отличий нет. Формируются частоты 32,768 кГц, 128 Гц, 1,024 кГц, 1 Гц. Используется в ЧС только 1 Гц. Для формирования управляющего сигнала для ВУ эта частота делится на 2 (0,5 Гц) МС К561ТМ2 (CD4013A) (используется один D-триггер).


Рисунок 4.
Сигналы интервалов.

Формирователь сигналов сброса счетчиков КР1533ИЕ2 и записи в регистры хранения К555ИР16

Собран на МС К555(155)АГ3 (два ждущих мультивибратора в одном корпусе), можно использовать и две МС К155АГ1 (смотри рис.№3).
По спаду управляющего сигнала МС АГ3 первый ж/м формирует импульс Rom - записи в регистры хранения. По спаду импульса Rom формируется вторым ж/м импульс сброса триггеров счетчиков КР1533ИЕ2 Reset.


Рисунок 5.
Сигнал сброса.

Для при измерении частоты собран блок на 2-х К555ИР16 и 4-х К555(155)ЛЕ1 (схемку нашел на просторах интернета, только немного подкорректировал под себя и имеющуюся элементарную базу).
Можно упростить частотомер и не собирать схему гашения незначащих нулей (на рисунке №9 изображена схема частотомера без схемы гашения незначащих нулей), в этом случае просто будут светиться все индикаторы, смотрите сами, как Вам лучше.
Я её собрал потому, что мне просто так приятнее смотреть на табло частотомера.


Рисунок 6. Схема гашения незначащих нулей.

Включение счетчиков КР1533ИЕ2, регистров К555ИР16, дешифраторов КР514ИД2 типовое, согласно документации.


Рисунок 7.
Схема включения счётчиков и дешифраторов.

Весь ЧС собран на 5-х платах:
1, 2 - счетчики, регистры и дешифраторы (на каждой плате по 4-е декады);
3 - блок гашения незначащих нулей;
4 - задающий генератор, формирователь измерительных интервалов, формирователь сигналов Rom и Reset;
5 - блок питания.

Размеры плат: 1 и 2 - 70х105, 3 и 4 - 43х100; 5 - 50х110.


Рисунок 8.
Подключение схемы гашения незначащих нулей в частотомере.

Блок питания. Собран на двух МС 7805. Включения типовое, как рекомендует завод-изготовитель. Для принятия решения по блоку питания были проведены замеры тока потребления ЧС, так же проверялось возможность применения ИБП и БП с ШИМ стабилизацией. Проверялись: ИБП собранный на TNY266PN (5В, 2А), БП с ШИМ на основе LM2576T-ADJ (5В, 1,5А). Общее замечания - ЧС работает не корректно, т.к. по цепи питания проходят импульсы с частотой работы драйверов (для TNY266PN около 130 кГц, для LM2576T-ADJ - 50 кГц). Применение фильтров большого изменения не выявили. Так, что остановился на обыкновенном БП - транс, диодный мост, электролиты и две МС 7805. Ток потребления всего ЧС (на индикаторах все «8») около 0,8А, когда индикаторы погашены - 0,4А.


Рисунок 9.
Схема частотомера без схемы гашения незначащих нулей.

В блоке питания использовал две МС 7805 для питания ЧС. Одна МС стабилизатора питает плату входного формирователя, блока управления дешифраторами (гашение незначащих нулей) и одной платы счетчиков-дешифраторов. Вторая МС 7805 - питает другую плату счетчиков-дешифраторов и индикаторы. Можно бп собрать и на одной 7805, но греться будет прилично, встанет проблема с отведением тепла. В ЧС можно применять МС серий 155, 555, 1533. Все зависит от возможностей….




Рисунок 10, 11, 12, 13.
Конструкция частотомера.

Возможная замена: К176ИЕ12 (MM5368) на К176ИЕ18, К176ИЕ5 (CD4033E); КР1533ИЕ2 на К155ИЕ2 (SN7490AN, SN7490AJ), К555ИЕ2 (SN74LS90); К555ИР16 (74LS295N) можно заменить на К155ИР1 (SN7495N, SN7495J) (отличаются одним выводом), или применить для хранения информации К555(155)ТМ5(7) (SN74LS77, SN74LS75); КР514ИД2 (MSD101) дешифратор для индикаторов с ОА, можно применить и КР514ИД1 (MSD047) дешифратор для индикаторов с ОК; К155ЛА8 (SN7403PC) 4 элемента 2И-НЕ с открытым коллектором - на К555ЛА8; К555АГ3 (SN74LS123) на К155АГ3 (SN74123N, SN74123J), или две К155АГ1 (SN74121); К561ТМ2 (CD4013A) на К176ТМ2 (CD4013E). К555ЛЕ1 (SN74LS02).

P.S. Можно использовать различные индикаторы с ОА, только ток потребления на один сегмент не должен превышать нагрузочной способности дешифратора по выходу.. Ограничительные резисторы зависят от типа применяемого индикатора (в моем случае 270 ом).

Ниже в архиве есть все необходимые файлы и материалы для сборки частотомера.

Удачи всем и всего наилучшего!